Partners

1. Amkor Technology Portugal S.A

Amkor Technology Portugal (shortened ATEP, former NANIUM) is a world-class provider of semiconductor packaging, assembly and test, engineering and manufacturing services (OSAT – Outsourced Semiconductor Assembly and Test). The company started as Siemens Semiconductors back in 1996 and nowadays is a leader in 300mm Wafer-Level Packaging (WLP), both Fan-In/WLCSP and Fan-Out/WLFO based on eWLB technology. ATEP offers in-house capabilities for the entire development chain, from design to multiple Wafer-Level Packaging technologies, and the flexibility to tailor and test solutions that respond to the most demanding customer requirements. ATEP is focusing on leading edge advanced packaging technologies in the “More than Moore” domain of heterogeneous integration of different functionalities in more complex package solutions. The company intends to become the reference Packaging Foundry for Fan-Out WLP (WLFO) and System-in-Package on Wafer-Level (WLSiP) technologies in Europe. One of the first milestones on that way has been the development and qualification of the worldwide first 300mm high volume manufacturing line for the leading FOWLP technology eWLB (embedded Wafer-Level Ball Grid Array) together with its licenser Infineon Technologies AG in 2010. This line runs today in volume production processing up to 6.500 metal layer per week, around 4.500 wafer starts per week. More than 700 Mio WLFO components based on eWLB technology have been shipped so far. ATEP has 650 employees and 20.600 m² clean room.

The company is based in Vila do Conde, near Porto, Portugal, and has offices in Dresden, Germany and Boston, USA. In 2013 NANIUM was one of the founders of the Industry Interest Group ESPAT (European Semiconductor Packaging, Assembly and Test) with the objective to strengthen this part of the Semiconductor Supply and Value Chain with focus on manufacturing in Europe. ESPAT became SEMI Special Interest Group ESiPAT (European SEMI integrated Packaging, Assembly and Test) in 2015, which is currently chaired by ATEP. In spring 2017 Amkor Technology, Inc. (AMKR) announced that it has completed the acquisition of NANIUM S.A.

http://www.amkor.com/


2. BESI Austria GmbH

Besi Austria GmbH forms part of the larger Dutch group Besi (BE Semiconductor Industries, N.V.), an international group of companies operating in the assembly and packaging sector of the semiconductor industry.

Besi Austria’s main area of expertise is the development and production of high precision and high flexibility assembly and die handling equipment for the semiconductor and microelectronics industries. Besi Austria offers various machine platforms targeting different processes within the semiconductor packaging industry. Machines are designed with focus on modularity, flexibility and customization potential to be able to address technical challenges for highly advanced packaging processes, whilst still maintaining excellent yield, cost of ownership and time-to-market. With these principles in mind, Besi has developed advanced equipment for high end semiconductor packaging processes, such as advanced multi-module system in package (SiP), copper pillar flip chip bonding, thermocompression bonding, and wafer level packaging.

Besi Austria is one of the leading and most innovative providers of assembly and die handling equipment, with over 25 years’ experience on advanced die attach and die handling technologies. Besi Austria is known throughout the worldwide semiconductor industry as a key supplier of advanced packaging solutions and as an essential research partner for several research institutes and industrial companies alike.

http://www.besi.com/


3. BESI Netherlands BV

Besi Netherlands BV is engaged in the development, manufacturing, marketing, sales and service of semiconductor assembly equipment for the global semiconductor and electronics industries. Besi’s customers are primarily leading multinational chip manufacturers, assembly subcontractors and electronics and industrial companies.

Besi Netherlands BV is part of Besi NV which is a leading assembly equipment supplier with

  • Broad portfolio: die attach, packaging, plating, wire bond
  • Strategic positioning in wafer level and substrate packaging

Global manufacturing operations in 7 countries; 1,524 employees worldwide. HQ in Duiven, the Netherlands

http://www.besi.com/


4. EV Group (EVG)

EV Group (EVG) is a world leader in wafer-processing solutions for semiconductor, MEMS and nanotechnology applications.  Through close collaboration with its global customers, the company implements its flexible manufacturing model to develop reliable, high-quality, low-cost-of-ownership systems that are easily integrated into customers’ fab lines.  Key products include wafer bonding, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems.

In addition to its dominant share of the market for wafer bonders, EVG holds a leading position in NIL and lithography for advanced packaging and MEMS.  Leveraging a combination of industry-leading products and process expertise, the company helps customers to achieve cost-effective implementation of emerging semiconductor technologies such as through-silicon-via (TSV) processes for chip packaging and MEMS/sensors.  Other target semiconductor-related markets include silicon-on-insulator (SOI), compound semiconductor and silicon-based power-device solutions.

Founded in 1980, EVG is headquartered in St. Florian, Austria, and operates via a global customer support network, with subsidiaries in Tempe, AZ.; Albany, NY; Yokohama and Fukuoka, Japan; Seoul, Korea; Chung-Li, Taiwan and Shanghai, China.

www.EVGroup.com


5. Murata Electronics Oy

Murata Electronics Oy, Vantaa, Finland is one of the leading manufacturers of automotive MEMS sensors. It is a part of the Japanese Murata Manufacturing Company. Murata Electronics is the leader in automotive low-g accelerometers with 50% market share and is also a major player in automotive yaw-rate sensors. Murata Electronics is also the market leader in accelerometers for cardiac pace makers with over 60% market share. Other major market areas include seismic event monitoring and industrial tilt angle measurement. The company has over 900 employees. Manufacturing operations and R&D are located in Vantaa, Finland.

http://www.murata.com/en-eu/about/company/muratalocations/europe/mfi/overview


6. Pac Tech -Packaging Technologies GmbH

PacTech-Packaging Technologies GmbH, established 1995 and a group member of NAGASE & CO., LTD. consists of two business units:

  1. Manufacturer of equipment for the Advanced Packaging and Microelectronics Industry
  2. Provider of high-quality, subcontract Wafer Level Bumping and Packaging Services.

Out of its German based headquarter and their 100% subsidiaries in California and Malaysia, the corporation supplies its outstanding solutions in these relevant business regions.

With more than 20 years of experience, PacTech is a prime manufacturer of leading-edge technology equipment and processes for the advanced packaging industry. PacTech designs, manufactures and supports solder jetting equipment, wafer-level solder ball transfer systems, wafer-level solder rework equipment, laser assisted flip-chip bonders and automatic plating tools for high volume electro-less Ni/Au and Ni/Pd/Au Under Bump Metallurgy (UBM) and Over Pad Metallurgy (OPM) through its global sales network. In its worldwide sales and application centers PacTech offers demonstration capabilities, including assembly of samples and prototyping under ISO certified production conditions.

It is PacTech’s mission to provide the highest level of innovative technology solutions with an unparalleled degree of customer service orientation, corporate integrity and attention to its clients’ individual technology demands.

http://www.pactech.com/


7. SEMILAB FELVEZETO FIZIKAI LABORATORIUM RESZVENYTARSASAG

Semilab is a company providing metrology equipment for process control in the semiconductor, photovoltaic and flat panel display manufacturing industries, and for related R&D activities. Its product range covers electrical and optical qualification of Silicon material (detection of electrically active contaminants and defects, bulk stress, epi layer resistivity, etc.), optical and electrical control of key process steps (ion implants, gate dielectrics, porous interconnect dielectrics, etc.), defects and voids in wafer bonding and various other applications. For the photovoltaic industry, products cover the full metrology need of a crystalline silicon solar cell manufacturing line.

Semilab was founded in 1990 as a spin-off from the Institute of Technical Physics of the Hungarian Academy of Sciences. Until the early 2000s, the company had about 15 employees. After moving into the photovoltaic industry, a significant growth started, and utilizing this, the company developed a broader product portfolio for the semiconductor industry.

Today, Semilab and its exclusive suppliers have nearly 500 employees worldwide, with a revenue of about 50-60 million USD per year, and besides the headquarters in Budapest, two product centers in the USA, R&D activity in Germany and Denmark, and sales offices in Japan, Korea, China, Taiwan and Singapore.

https://www.semilab.hu/


8. Valeo Vision Systems (Connaught Electronics Limited)

Valeo Vision Systems (Connaught Electronics Limited) specialize in the design and manufacture of advanced Driver Assistance Systems including Rear View Cameras, Surround View and Camera Monitoring Systems. The applications include Pedestrian Detection, Cross Traffic Alert and Automated Parking. The next generation products will launch us into the realm of Autonomous Driving applications. Through the sustained investment of Valeo Group, the footprint in Ireland includes one of the groups largest Research and Development sites employing in the region of 400 professionals across a wide spectrum of Engineering and Science.

Valeo is an independent industrial Group fully focused on the design, production and sale of components, integrated systems and modules for cars and trucks. Valeo ranks among the world’s top automotive suppliers. The Group has 155 plants, 20 Research centers, 38 Development centers, 15 distribution centers and employs 91800 people in 30 countries worldwide (2016 figures).

Valeo EEM (Valeo Equipements Electriques Moteur SAS) is an affiliate of Valeo Group located in Créteil, close to Paris in France. Within VEEM organization, GEEDS (Group Electronics Expertise and Development Services) is a Valeo R&D transversal entity. GEEDS supports the group development toward best quality, and provides innovative technologies to Valeo operational entities. Another important mission of GEEDS is to spread and sink innovation outside the group in order to build international recognition and meet the high customers’ expectations in the domains related to the reduction of C02 emissions and intuitive driving.

Within the 3D-IC project, Valeo developments will be oriented toward building the demonstration “3D-IC image sensor module prototype” with CEA – Leti and integrate it on a camera application. Build expertise on optimum FOWLP die stacking to meet automotive robustness constraints as:

  • Evaluate Camera Performance: Image characteristics > 10 Mega pixel, EMC and thermal behavior, Power integrity.
  • Evaluate Environmental performance: Thermal shock, frost, humidity, drop tests…
  • Prepare and accompany VALEO supplier to produce automotive 3D-IC technology image sensors.
  • Analyze the balance between Compactness, Performance and Cost

http://www.valeo.com/en/


9. 3DiS Technologies

3DiS Technologies is a young technology company that offers innovative Wafer-Level 3D packaging and 3D interconnect solutions for the integration of miniaturized electronic systems and high-performance, ultralow-small, 3D integraed passive devices. By using these innovations, 3DiS promises to enhance electrical and thermal performance of systems while reducing their size by means of dense 3D interconnects and native 3D interconnection of stacked dies.

3DiS Technologies delivers the best solution tailored to the constraints of client’s system requirements by relying on its process flexibility & adaptability, its expertise in RF circuit/passive devices design and integration as well as in process engineering. The company is actively committed to providing turn-key system packaging and 3D passive device integration solutions to customers seeking to fulfill the requirements of today’s highly integrated and miniaturized systems.

https://www.3dis-tech.com/


10. RoodMicrotec

RoodMicrotec has offered products and services to the semiconductor and electronics industry for over 45 years. Together with reliable partners the company produces highly developed microchips to customers’ specifications (ASICs). It offers turnkey solutions with its “one stop shop” approach and supports its customers along the whole supply chain. This so-called “Extended Supply Chain Management” runs from ASIC design to developing test programs and procedures and includes qualification and failure analysis. RoodMicrotec also offers production test, packaging of devices and worldwide shipping. RoodMicrotec supports companies, who do not have these services in-house, and consults with them on all steps that are necessary for producing reliable components and integrated systems. RoodMicrotec is certified according DIN EN ISO 9001 and accredited according DIN ISO / IEC 17025 for its test labs.

https://www.roodmicrotec.com


11. Sencio

Founded on 1st of January 2011, located in Nijmegen in the Netherlands, Sencio has taken over the advanced assembly activities from Elmos Advanced Packaging. Sencio is a privately funded, independent company, able to support our customer’s functional packaging requirements. Our employees have many years of experience in development and volume manufacturing sensor components and sensors systems among others for the automotive industry.

Our mission is to be a profitable world class competence center offering development and manufacturing of functional semiconductor assembly solutions to companies with the focus on Europe and sensors.

We will continue to show profitable growth by:

  • exploring our proven IP
  • profitable functional packaging manufacturing
  • automotive track record

All above with a clear view on our prospects and listening to our customer requirements and following market trends.

Collaboration with us will help you to reduce the time between conception and the introduction to the market place. This combination of your know-how and experience on the system level added together with our creativity, know-how and experience of packaging of (MEMS) sensors makes a winning team. Each new customer request offers us an opportunity to again demonstrate our expertise in package technologies.

http://sencio.nl/


12. Afore Oy

Afore Oy, located in Lieto, Finland, is an engineering company established in 1995, focused on MEMS testing solutions. Afore develops, manufactures and delivers MEMS test handlers for testing of accelerometers, gyroscopes and pressure sensors. The provided solutions are meant both for package and wafer level testing of MEMS sensors. In wafer level testing Afore is a pioneer having its first systems built already 15 years ago.

http://afore.fi/


13. Micro Analog Systems Oy

Micro Analog Systems Oy, founded in 1980, is a privately owned fabless semiconductor company located in Helsinki with R&D office also in Tallinn, Estonia. In-house wafer level testing facility allows the company to supply all products also as bare die.

MAS is one of the leading suppliers for time signal receiver ICs and piezo buzzer drivers. MAS also supplies low power and highly stable interface ICs for capacitive and resistive MEMS pressure and other sensors for consumer and industrial electronics applications.

http://www.mas-oy.com/


14. Commissariat à l’Energie Atomique Et Aux Energies Alternatives

CEA/LETI is the Laboratory for Electronics & Information Technology in Grenoble which is one of the largest applied research laboratories in Europe in the field of electronics and micro & nano-technology. It is part of the CEA Tech which operates in the fields of technologies for information and communication and of technologies for new energies. It mainly aims at helping companies to increase their competitiveness through technological innovation and transfer of its technical know-how to industry. LETI has also an active policy of start-up creation. LETI benefits from 8,500 m2 state-of-the-art clean rooms; up to 300 mm wafer size. For more than 15 years, the CEA/LETI has been engaged, among others, in 3.0 and 2.3D Integration, MEMS packaging and heterogeneous integration for smart systems. LETI is a major actor in the development of the packaging technologies with more than twenty years’ experience.
Based on this significant expertise in the domain, LETI will provide a major contribution to the EuroPAT-MASIP project in close collaboration with Valéo. CEA will be able to increase the competitiveness of its technical proposition for application specific customized advanced packaging and 3D solutions supported by its 200 and 300mm technological platforms.

http://www.cea.fr/english


15. Fraunhofer Gesellschaft zur Förderung der angewandten Forschung e.V.

The Fraunhofer-Gesellschaft (FHG) is one of the leading organizations of applied research in Europe, undertaking contract research on behalf of industry, the service sector and government. At present, the organization maintains 67 Fraunhofer Institutes in Germany, with a staff of around 24,000 scientists and engineers. Fraunhofer IZM’s focus is on packaging technology and the integration of multifunctional electronics into systems and also covers all aspects for advanced packaging e.g. process development, qualification and reliability & failure analysis with specific links to WL packaging and 3D heterogeneous integration. Fraunhofer ENAS stands for design, development, and test of smart systems comprising sensors, actuators, and electronics. In the field of reliability research, it has a long record of identifying the physics of failure and possible weak points in new components and systems, of developing new strategies and schemes for virtual prototyping and design for reliability by validated numerical simulations, and of transferring these methods into industrial practice. Fraunhofer EMFT stands for applied research into sensors and actuators for people and the environment focusing its activities on Functional Molecules, Silicon Technologies, Devices and 3D Integration, Foil Technologies, Micropumps and Design, Test & System Integration. Fraunhofer IKTS conducts applied research on high-performance ceramics and various other systems, such as microelectronic packages. Its department “Microelectronic Materials and Nanoanalysis” focuses on the development & application of methods for high resolution inspection and analysis, offering consulting, contract analysis, methodological development services, and advanced materials characterization.

https://www.fraunhofer.de/en.html


16. VTT Technical Research Centre of Finland Ltd

VTT Technical Research Centre of Finland Ltd is the leading research and technology company in the Nordic countries. We use our research and knowledge to provide expert services for our domestic and international customers and partners. We have 74 years’ experience supporting our clients growth with top-level research and science-based results. We develop new smart technologies, profitable solutions and innovation services. We cooperate with our customers to produce technology for business and build success and well-being for the benefit of society. VTT has participated in several international, national and industrial research projects where micromechanics have been successfully utilized in various applications.

http://www.vtt.fi/Pages/default.aspx


17. Advanced Vacuum

Advanced Vacuum, (Lomma, Sweden) a Plasma Therm Company, is a leading provider of plasma processing and vacuum testing systems specialized plasma etch and deposition technologies, including ICP, RIE, DSE™, PECVD, and HDPCVD. Advanced Vacuum serves multiple markets, including failure analysis, R&D, solid-state lighting, renewable energy, nanotechnology, photonics, and environmental control systems.

Established in Sweden in 1993 to provide vacuum system service, Advanced Vacuum quickly grew and two years later began principal sales for vacuum associated components. By 1999, the company, now located in Lomma, Sweden, was designing hardware and software solutions that included upgrade packages for a variety of vacuum systems including plasma.

Advanced Vacuum mother company, Plasma-Therm based in Florida, US, is a manufacturer of plasma etch, deposition, and advanced packaging equipment for specialty semiconductor and nanotechnology markets.

Since 1974, Plasma-Therm has been an innovator in plasma-processing technologies. The company now holds more than 40 U.S. and foreign patents for plasma processes and equipment inventions.

Plasma-Therm’s reputation as an industry leader is supported by more than 40 years of focus on customer support, product innovation, reliability, and low cost of ownership. The success of Plasma-Therm systems in both high-volume manufacturing environments and research institutions has secured the company’s status as a preferred supplier of plasma-process equipment.

http://www.advanced-vacuum.com/


18. Packaging SiP

Packaging SiP is a Consultant Company with only one person; Christian Val:

  • Engineer and PhD on the physics on materials.
  • Graduated from the Business School in Paris; IAE.
  • Responsible for technology in different Divisions of Thomson Group including the Central Lab(LCR); member of the Scientific College of Thomson Group from 1989.
  • Launching of 3D PLUS in 1996 and CEO until the sale of the company to the US-based HEICO’ Company in 2012.

Now, he is consultant for the HEICO Group and several other companies, via his Company: “Packaging SiP”. The competencies of Packaging SiP are on the packaging and interconnection areas. Filed 107 patents and approximately 150 technical papers presented at international Congress and Symposiums


19. NXP Semiconductors N.V. 

Since the merger of Freescale and NXP on December 7th, 2015, NXP has become the world’s 4th largest non-memory chip manufacturer. With over 10 B$ revenue and annual investments in R&D of 1.5 B$. NXP Semiconductors enables secure connections and infrastructure for a smarter world, advancing solutions that make lives easier, better and safer. As the world leader in secure connectivity solutions for embedded applications, NXP is driving innovation in the secure connected vehicle, end-to-end security & privacy and smart connected solutions markets. Built on more than 60 years of combined experience and expertise, the company has 45,000 employees in more than 35 countries.

NXP Semiconductors France is the French subsidiary of NXP Semiconductors NV. Headquarter is located at Saclay and other locations are Sophia Antipolis, Toulouse and Caen. There are around 1000 employees.
The team involves in the project is located in Caen and part of Smart Antenna Solutions (SAS) of NXP Semiconductor which is a leader in RF IC landscape for mobile devices and infrastructure networks. NXP SAS address today and future growing demand for high performance reliable and cutting edge fully integrated RF front-end IC’s of the mobile connection with NXP in-house high-performance process technology.

http://www.nxp.com/


20. Ketek GmbH

KETEK is a medium size, family owned enterprise which has been established in 1989 by Dr. Josef Kemmer with the objective of commercializing the Silicon Drift Detector. Today KETEK is employing eighty-five employees. An experienced team of highly motivated engineers, physicists and technicians is developing and manufacturing a unique product portfolio around the Silicon Drift Detector and the new Silicon Photomultiplier, starting from the bare silicon wafer to the detector chip and further to the highly complex detector module.

KETEK is offering its customers, located everywhere in the world, tailored solutions for their analytical devices and applications, including in-house-developed analog and digital signal processing electronics.

KETEK has been certified by TÜV Management Services for the integration of a quality management system according to ISO 9001:2015 for “manufacturing and development of semiconductors, detector systems and corresponding electronics.”

http://www.ketek.net/


21. Spinverse Oy

Spinverse is the Nordic leader in innovation consulting, specialized in driving open innovation ecosystems, arranging funding and commercialising emerging technologies. Founded in 2004, Spinverse employs 40+ professionals in Europe. Our technology and business experts drive our clients’ R&D&I and business undertaking to develop game-changing solutions. We offer services to various clients: Large multinational companies, SMEs and start-ups as well as multi-stakeholder partnerships, universities and governments e.g. in the field of automation, chemicals, clean-tech, electronics, energy, ICT, life science, machinery and medical industry.

http://www.spinverse.com/


22. Nokian Tyres

Nokian Tyres is the world’s northernmost tyre manufacturer. It promotes and facilitates safe driving in demanding conditions. We are the only tyre manufacturer to focus on products for demanding conditions and customer requirements. Innovative tyres for passenger cars, trucks, and heavy machinery are mainly marketed in areas with snow, forests and challenging driving conditions caused by varying seasons. We develop our products with the goals of sustainable safety and environmental friendliness throughout the product’s entire life cycle.

In 2016, the company’s Net sales were approximately EUR 1,4 billion, and it employed 4,400 people at the end of year. Nokian Tyres’ stock is listed on the Nasdaq Helsinki.

https://www.nokiantyres.com/


23. InnoSenT

InnoSenT GmbH – founded in 1999 – is a leading manufacturer of Radar sensors for automotive and industrial applications. Radar components and subsystems are developed and manufactured on state of the art equipment in Donnersdorf/Germany. InnoSenT is covering the requirements of the automotive and industrial sectors with standard products and customer-specific solutions. These products primarily include sensors for automotive ADAS and industrial radar applications in the safety (e.g. securing of areas, cut-off and warning functions), comfort (e.g. automatic switching and controls) and environmental (e.g. reduction of energy and water consumption using on-demand control) sectors.

InnoSenT produces approximately 3 million sensors every year making it one of the world’s largest manufacturers of radar sensors. The turnover in 2016 has been 47.6 Mio €. The product line comprises analog frontends in the frequency bands between 24GHz and 81GHz as well as fully digital solutions adapted to the customer´s requirements. As a production service provider, InnoSenT offers a full service – from purchasing and placing to the preassembly or final assembly of the components. 45 design engineers in a staff of total 142 employees are designing hardware and software for innovative products and are the basis for a highly R&D oriented company.

http://www.innosent.de/home/


24. Berliner Nanotest und Design GmbH

Berliner Nanotest und Design GmbH, an SME, serves as a full-scale provider of engineering services for reliability, mainly in microsystem technologies and nanotechnology, for micro-testing and microanalyses.

Main areas of expertise include:

  • thermal characterization of micro/nano materials and compounds
  • reliability analyses of micro components for MEMS, microsystems and micro-/nanomaterials for a variety of applications using advanced experimental tools
  • simulation of micro- and nano-stacks and packages
  • evaluation of materials, components, connections, packages by means of a combination of instruments, such as numerical simulation, experimental testing and others

The activities on thermal problems are the main application field and include:

  • TIM characterization (closely manufacturing- and application-related)
  • Package characterization (thermal analysis of packaging and thermal management)
  • System characterization (thermally, thermo-mechanically and thermo-electrically)
  • Reliability analysis (reliability with special emphasis on thermally induced effects)
  • Numerical analysis (stationary and transient, based on FE simulation)

The activities include development and provision of unstandardized, problem-specific measurement and characterization services as well as development and sale of experimental set-ups and test beds.

http://www.nanotest.eu/en/


25. Angewandte Micro-Messtechnik GmbH

AMIC Angewandte Micro-Messtechnik GmbH is a research and development company working in the field of microsystem technology, material research and technical structure analysis for the IT sector, microelectronics, aerospace and automotive industry. Main topics are the development and application of numerical and experimental techniques as powerful tools for virtual product engineering. AMIC is especially focused on thermo-mechanical analysis of microsystem technological components as well as materials for microsystem technology. In addition, research and development services (deformation field measurements, finite element analysis, fracture mechanical parameter measurements) are offered for manufactures and users of microsystems and microelectronics. Research is related to the determination of critical stress/strain states based on thermomechanical deformation during manufacturing and life time and humidity induced deformation as well as to the derivation of optimized packages for microelectronic components and systems. Especially in the field of highly integrated systems reliability and life time are estimated via a combination of experimental and numerical simulations.

http://www.amic-berlin.de


26. Silicon Radar

Silicon Radar GmbH is a SME located in Frankfurt (Oder) Germany. Silicon Radar designs and delivers Millimetre Wave Integrated Circuits (MMICs) on a technologically advanced level, manufactured in affordable Silicon-Germanium-Technology (SiGe). Silicon Radar – that’s long year expert knowledge in high frequency chip design combined with innovative Silicon-Germanium-Technology of IHP – a high performance technology at low costs close to CMOS processes.

Silicon Radar is a technology oriented company that gains a competitive advantage through the application of advanced and cost‐efficient IC‐design and fabrication technologies. Silicon Radar develops and delivers advanced, SiGe‐based millimeter‐wave integrated circuits (MMIC) for wireless communications and radar applications. The main areas of expertise are:

Single‐chip radar systems, 60GHz communication systems, X‐band phased array RF‐frontends and power amplifiers, and Terahertz circuits for communications and imaging. Silicon Radar was founded as a spin‐off company by employees of the Leibniz Research Institute IHP GmbH (www.ihp‐microelectronics.de) in June 2006.

http://www.siliconradar.com


27. TexEDA Design GmbH

TexEDA Design GmbH is an SME located in Germany, Frankfurt (Oder). The company was founded in 1994. TexEDA focused its activities on development of a professional grade EDA toolset for IC design flow called RF/IC Studio. RF/IC Studio is a toolset that supports bundles allowing the designer to move all the way from a concept to IC design and fabrication. It has been continually extended and enhanced over the past 22 years so that it continues to meet the demands of the marketplace head on.

TexEDA is a many-year partner of IHP GmbH, a member of the German Leibniz Association providing PDKs support for their SiGe BiCMOS technologies with high performance at very high frequencies and new functionality for novel system-on-chip applications.

https://www.texeda.de/


28. Elmos Semiconductor AG

Elmos is a developer and manufacturer of semiconductor based system solutions offering high quality integrated circuits. The product range of Elmos consists of application specific integrated circuits, such as IP cells, bus-systems, individual circuit and function blocks, DC/DC converter, input/output integrated circuits (ICs), transponders, actuator drivers, sensors and signal conditioning ICs as well as application specific standard products comprising drivers, motor drivers, and sensor interface ICs. Its semiconductor products are used primarily in electronics in the automobile industry, as well as in industrial and consumer goods electronics markets for applications in household appliances, photo cameras, installation and facility technology, and machine control applications.

Elmos maintains sales offices on four continents and guarantees fast support to the customer by means of local presence. Moreover, the company produces at five locations on three continents. By leveraging the benefits of in-house CMOS technology development, chip design and production, Elmos can always deliver the product best suited for the customer – be it a chip tailored to his specific requirements, a standard product ready to use in a short amount of time, or a complete microsystem as a symbiosis of sensor, read-out electronics and special package.
Elmos Semiconductor AG was founded in 1984 and is headquartered in Dortmund, Germany.

http://www.elmos.com/home.html